「Verilog」を含む日記 RSS

はてなキーワード: Verilogとは

2023-09-27

anond:20230726111327

n=1の話をするが組み込みにもちゃん若い人いるよ。新卒一括採用からWebみたいに派手じゃないけど。

あと組み込みにも色々あるよ

CPUC言語で書く。状態制御とかDI/DOする。割り込みつかってある期間内で処理を終わらせるようにする

CPUアセンブラで処理書く。古い製品CPUはそれでしか書けないとかある。

FPGAVerilog/VHDLで処理書く。①で間に合わない速度が必要とき。あとA/D変換とか

PLCラダー言語で処理書く。リレーwwwなんだが、何十年も出してる装置とかPLCが中心になっていて今更変えられないので今も需要がある。発注元も昔のやつ参考にするのでPLC制御することみたいな要求事項がそのまま残ってる。

組み込みLinuxに対してC++で処理書く。リアルタイムじゃない。CPU1はこれで、CPU2が①とかある。1と2のデータの受け渡しにFPGA使ったりする

タッチディスプレイUIとか。タッチディスプレイ機械売ってるメーカが出してるクソ使いにくいソフトで作る。これで作ると牛丼屋の券売機見たいのができる。

私は3年立たずに逃げたのでもっと他にもあると思う

2023-09-14

Verilogより回路の例を学びたい

デジタル回路を勉強しようとして困るのが、Verilog言語勉強しようになりがちで、どういう回路があるかを知るハードルが高い。

2023-08-17

半導体設計ってツール覚えるだけでも大変よな

verilogtcl、SVA、PSL、e言語PythonPerlツール使いながら覚え、

ツールマニュアルどこにあるんだので覚え、

参考に出来るような回路自体があまりなく、

規格は新しいのが出たら覚え、

ツール個人では契約出来ず使えないし、ネット情報はないし。

2023-07-11

Code Interpreterサポートされる言語でないと開発できなくなるんやろうなぁ

ハード記述言語Verilogイマイチ。SystemVerilogイマイチ

SVAもそう。

MATLABイマイチ


2023-07-04

半導体設計ってやっぱり知らない人多いのか?

  1. ISA定義
  2. アーキテクチャ定義 ドキュメント数千ページある
  3. チップ仕様策定
  4. RTL記述Verilog、SystemVerilog
  5. RTL検証(PSL、SVA、UVM、tcl
  6. 論理合成、タイミング検証tcl
  7. レイアウト
  8. サインオフ検証デザインルールチェック、電源ドロップ

色々省いてこんなのだろうけど、ニュースコメント見てると知られてなさそうな感じがある

2023-05-23

verilog学んだけど、HWって個人レベルと金かかるのに何も出来んのな

FPGAは高いわりになんも出来ん。

FPGAに限らず、ハードって金かかるわりに何も出来ん。

2023-05-19

なんで日本って半導体チップ設計出来ないんです?

素人で調べたところだと、

  1. 半導体チップ設計には設計ソフト必要だが、オープンソースではない or 限られた機能しかない。誰でもライセンスを受けられるわけではなく億円単位必要
  2. 設計は、論理設計物理設計がある。論理設計Verilog言語でRTLを作る。物理設計トランジスタや配線をどうするかを行う。
  3. 設計ソフトの使い方を説明した資料普通は読めない。書籍はない(和書洋書ともに)
  4. RTL設計簡単書籍はあるが基礎のみ。USBなど普段使っている物を1から作るような書籍はない。
  5. USB, Etherなどの設計する書籍はない。
  6. ソフトのように論文を読むと全て書かれているわけではなさそう。IEEEだと論文読むだけで価格高い。

こんな感じで普通の人が知るのは難しそうだ。


でも企業ならある程度クリア出来るだろうが、想像するにこういうことではないか

  1. 設計ソフトIPライセンス料が払えない。ウェーハ代が払えないなど。
  2. CPU/GPUなど、多くの人が期待するような高性能な半導体チップ国内で作ってないので、企業求人募集しても人材市場に人がいないのではない
  3. 素人を育成するだけの余力がない。商品としての価値があるところまで作れる人材教育する余力がない。
  4. USBとか規格が決まっているものが正しく動くか評価する機材が国内にない。買えない。
  5. ハードが作れたとしても、性能を引き出せるドライバコンパイラライブラリを作れない

2023-01-14

anond:20230114015744

全体的に、線で結ばれているものが親子関係なのか包含関係なのかただ近い領域のものなのか曖昧なので意味のあるグラフというよりはキーワード適当に散りばめて近い領域にあるものを線で結んだお気持ちマップに見える

なんか細かい所チクチク直しても全体がよくなる気がまるでせんので目的と線の意味定義し直して出直してくれ。

2022-08-05

anond:20220805100546

論理設計verilog勉強すればいいんじゃね

何がしたいのか知らんけど

2022-01-16

CPUアーキテクトの転職話題ですが、CPU設計ってRTL書いて論理合成する以外に何かしてるのでしょうか

Verilog/SystemVerilogなどのHDL言語論理を書き、

Cadence/Synopsysといった論理合成ツールで合成、STAタイミング検証するといったのがSoC設計だと思うのですが、

インテル/AMD/Nvidia設計は異なることをしているのでしょうか?


ニュースを見ていると、属人的仕事に見えてしまうのですが、普通はそんな企業ないですよね。


仮に属人的になっているとして、前の企業自分が出した特許のために実装できない、といったことは普通にあると思うのですが。

2021-07-16

国が半導体技術者の育成はできるのか

仮に予算を十分につけたとする。

半導体エンジニアも、様々な分野があり、

  1. CPUGPUSoCなどのアーキテクチャを作るアーキテクト、
  2. Verilog、SystemVerilogVHDLなどのハードウェア記述言語でRTLコーディング検証する論理設計
  3. レイアウトタイミング検証、電源検証をする物理設計
  4. PLL、アナログ回路設計者、
  5. 光プロセス設計するプロセスエンジニア
  6. ウェーハのダイシング、パッケージ組み立てなど後工程

など多岐に渡る。

問題なのが書籍電子書籍がない分野であり、国が本気になったとして、教科書作りから始めるわけで、出来るのか?

2021-03-30

半導体チップ設計ってどうやって勉強するんだ?

デジタル回路だと、FPGAについての本がある。

Verilog、System-Verilogあたりは書籍もある。

LINTはチェックするツールフリーではないが、書籍がある。

検証となると、VerilogやSystem-Verilogあたりでの検証書籍でなんとなくは出来る。

アサーションあたりになると書籍微妙になる。System-Verilogアサーション、PSLでなんとなく書くのは出来るかもしれないが。

e言語になると書籍もない、ネットでも情報がない。


加算器と減算器はまだいい。

乗算器、除算器、Sin、√となると、書籍がない。


CPU簡単なのは作っている人はいるが、浮動小数点回路となると減ってくる。

GPUなんてなると、殆どない。

USBHDMIなど、身近に溢れているものでも、作っている人がいない。

タイミング制約や非同期あたりも情報が少ない。


アナログだとSpiceにはたどり着くが、ディスクリート品の対象が多い。

2020-07-16

ハード作ろうにもVerilog仕様から先に進めない

Verilog言語仕様に関する記事は沢山あって勉強したあと、

何が作れるのか先人の発明を探そうとすると、あまり出てこない。

Lチカ以降、道がなくなるのと同じ。

2020-06-27

技術者でも、スパコン富嶽について政治の話しかしてない

世間一般はもうおいておくとして。

技術者でも富嶽については技術的な話がされていない。

中のCPUArmアーキテクチャという点は言及されて終わりのような。

CPU自体日本だと設計できる人が少ないからなのか。


自分も"Computer Principles and Design in Verilog HDL"といくつかの書籍くらいでしか勉強していないから、そこまで詳しいわけではないが。


ソフトウエアについても、具体的な名前も出てこない。

使っている人が少ないからか。

2020-06-25

anond:20200625063230

というか、

ASICやLSIを作ったことある人なら、当たり前すぎることなんだけど、

語弊があるどころかニュアンスが逆なんだよね。

マイクロアーキテクチャ共通化するっていうことは、

開発者にとって楽になるどころか難しい方向に行くんだよね。

フロントエンドのみArm命令に置き換えた形」という文言は、

「中身は前のまんまw」「命令セット入れ替えただけなんすわw」「命令デコーダarm化したSparc64です。」という意味ではなくむしろ逆で、マイクロアーキテクチャ共通になるように、DDRHBM差分を見えなくしたりレイテンシを調整したりetc...して、ほとんど全部Verilogを書き直したってことなんだよね。

で、なぜそこまでしてマイクロアーキテクチャ共通化するかっていうと

チップ検証で、過去資産活用するためなんだよね。

LSIチップ検証って組み合わせパターン天文学的数字すぎて分岐網羅とか全然できないんだよね。

ソフトウェア的な分岐網羅に換算したら0.1%となんじゃないかな。

そこでマイクロアーキテクチャ共通化してると、過去チップLSIテストケースを流用できるわけなんだな。

でも、カバレッジ全然ないのに、もしLSIバグがあると作り直しにウン億円ぐらいお金かかるからね。

これは国プロからそこらへんどうしてるんだろうね。

2020-03-28

製造業にいると、コンピュータが遠くなっていく

CPUVHDL,Verilog,System-Verilogで書いたりした時もあった。

USB,HDMI,電池の充電制御,WiFi,自動車など色々やったが、コンピュータが遠くなっていく感じがある。


FPGACPUを書いてOSを起動させたとして、自分普段仕事環境が良くなるわけではない。

ソフトウェアでは次々と開発環境が良くなっていくし、自分で使いやすいようにカスタマイズもできる。

でもハードはそうではない。MS Officeは動くがメモリが4GBでカクカク。

作ったハード自分達が使うわけじゃない。例えば100Gイーサ開発してもその恩恵は得られず1Gbpsが関の山だったりする。


C言語アセンブラまではハードがどう動いているかわかるが、

Python,Go,R,C++,Rubyくらいになると書けるがどう動いてるかわからなくなってブラックボックス化する。

社会的には正しいのだが、自分が関わったハード自分が書いたC/C++コードを上位から呼び出すのはわかるが、

ハードが変わったらブラックボックスになる。ロジアナオシロで見てわかるレベルであればいいが。


またソフト業界とのギャップも感じる。

PandasのTime seriesが時間を扱えるのかと思っていると、ピコ秒が扱えない。

これは1例に過ぎないが、Amazon本屋新刊が出ると買って勉強し、ライブラリ公式ドキュメントも読んでいくが、仕事に直結しない。

クラウド使ってディープラーニングのやり方は沢山情報はあるが、CPU内蔵GPUメモリ4GB+FPGAでやる情報なんてない。

しか電流量絞ってないと物理的に壊れる恐れもある。辛い。


うそう、コロナオーバーシュート話題になったが、

オーバーシュート場所を検出したり、統計処理するようなソフトもパッと出てこないので書いた。

このあたりもソフト業界ギャップを感じてしまう。オシロ機能としてあるわりに、手元のPCだと自作する羽目になる。

2019-12-17

報告資料ってプログラミングで楽にならないものなの

技術資料を作って報告するのだけど、ポンチ絵だのグラフだのがプログラミングで楽にならない。

プログラマーの人ってそれほど報告しないものなのだろうか?


専門はVerilog系なのでそういった資料作りには役に立たないし、

Pythonで色々探したが見た目の調整に時間がかかりすぎるので辛い。

(例えばPythonエクセル自動化できるというが、グラフの調整が結局手作業になる…。強調したいところ以外を灰色に変更したり。)

2013-11-10

http://anond.hatelabo.jp/20131109185658

組み込み系の仕事をしている二年目です。

毎日仕事ができなくて凹んでます元増田の2年目が羨ましいです。

研究室では解析アプリケーションを作るのにC,C++,Fortranをいじってました

また趣味サーバの立ち上げやWeb系のJavascriptPHP,Pythonなどもいじっていました。

なんである程度どっちもわかります

で、そんな自分組み込み系の仕事に入ったわけなのですが、

まったく違う。組み込みWebアプリケーション文化が違ったわけです。

ここからはあくまで私の体験ですが…

まず、組み込み系はハード接続図)を読めないと話になりませんでした。

CPUFLASHSRAMFPGACPLDアナログ回路、バッファ、それらをつなぐバス、電源、接点、コネクタスロット、A/D、D/Aなどなど、

これらがどうつながってるか意識しなくてはいけません。SoCとか行っても接続図読めないと意味ありません。

この段階でプリント板の単体検証もしてもらいます

広い話、プリント設計組み込み系の仕事なんですよね。

次に、FPGACPLD設計があります言語VerilogVHDLです。XilinxAltera、Actel等のデバイスに書き込みます

PLDって言うのは言語で書けるハードです。似ているようでCPUと違うので設計にはスキル必要です。

この段階でシミュレーション(modelsim等)をしてもらいます

ここも立派な組み込み系の仕事です。

次にCPUです。言語はC,アセンブラC++です。でもほとんどがCです。デバイスルネサスSHとかです。自分はここで見習いをしてます

CPUに直接入ってくる信号(接点・バス等)もありますが、前述のFPGACPLDから入ってくる信号のほうが多いです。

で、アプリケーションWeb系と何が違うかといえば、ものすごい短期間にいろんなことが起こります

リアルタイム処理っていうのでしょうか。割り込みとか聞いたことありませんか。

要はOSがないので自分でなんでも考えなきゃいけないわけです。

CPU検証はMISRA-Cや専用のカバレッジテストツールで行います

一般的組み込み系の仕事と言われるとここを指すと思います


実際にはユーザーインタフェース設計組み込みに入ります

接点の調整とかLCDパネルとかメンテナンスのツールだとかがないと装置に指令を出せません。

これらにもCPUが入っているわけなので別にコードを書く必要があります組み込み系の仕事です。

さらPLCってのもあります

これは言語でかけるリレー回路です。リレーってのはスイッチです。

スイッチ操作することで接続されている機械操作(電源の入り切りとか)します。

これもCPU,PLD等とは全く違う方式(ラダー)で書きます。十分組み込み仕事です。

最後に組み合わせ評価・試験です。

ユニット試験では通っても、組み合わせ試験で動かないというのは100%あると思います

試験仕事じゃないと思われるでしょうが自分はここも立派な組み込み系の仕事だと思ってます

この段階で確認がとれた後、装置に渡せるようになります

などなど一言組み込み系の仕事といってもいろいろあるわけです。

上の中の2つ3つを仕事に使えるレベルまで持って行くには10年、20年はかかると言われました。

ここで表題の件なのですが、元増田の人は経験8年なので、例えばFPGAを8年やってきてCを書けと言われても大変だと思います

特にその後にWeb系の仕事(これも一言で表すにはいろいろジャンルがあると思いますが)をされてきたとのことなので

いろいろとあったのだと思います。逆にずーとやっていた分野のことを任せるといいかもしれません。

まずどんなことをやってきたのか聞いてみたほうがいいと思います

2010-07-28

http://anond.hatelabo.jp/20100727224744

HDL(ハードウェア記述言語)をボカロに例えたかったけれど、

Verilogしか知らない俺には無理だったぜ、的な。

2009-02-03

月収400万くらいのプログラマです。

フリープログラマです。月収で200~1500万くらい。年収で3000万~1億くらい。都内のボロいワンルームの1Fに一人で住んでテレビ冷蔵庫も食器もない部屋で毎晩コードを書いているだけです。昼は寝ています。ごはんは隣のコンビニですましています。何かを選ぶ気力も無いです。使っているパソコンThinkPad X30だけです。これで十分です。有料のソフト秀丸があれば何もいりません。

欲しいものはなにもないです。行きたいところもないです。会いたい人もいないです。友達も仕事以外では誰もいないです。学生時代の大半はいじめられっこだったので、基本的に人は好きじゃないです。2ちゃんスレを立ててもだいたい伸びないです。オンラインゲームも人と絡むのがすごい苦手ですぐやめました。惨事彼女なんているわけないです。セックスは有料でしたことがありますけど、zip同人誌を見てオナニーしてるほうが気持ちよくて、面倒くさくないと思ったのが感想です。恋をしたこともありますが、多くは色恋営業で、街で声をかけられて、数十万の絵を買わされたり、数千万の紙切れを買わされたりして、契約成立のあとは、一度も会ってくれない女の人に3人ほど恋をしました。一生虹でいいとおもいました。

コードを書くのだけは異常に速いと言われます。Webサイト構築でも、FlashでもAJAXでも、DSP開発でも、PGA/CPLD verilogでも、WindowsMacでも、JavaでもAirでも、BREWiアプリとかのケータイアプリでも、iPhoneAndroidアプリでも、PS2PSPのコンソール機のゲーム開発でも、組み込みの独自OSの開発でも、ドライバ開発でも、カスタムCPUコンパイラでも、なんでもこなしてきました。キモい38歳です。コードを書くだけがとりえです。それだけの人間なんだと毎日思っています。別に幸せでも不幸でもないです。ただ、やりたいこともないです。正直、明日にでも死にたいです。もう死んでいるかもしれません。みなさん、ありがとう

ログイン ユーザー登録
ようこそ ゲスト さん